8/01/2013

1.1. Thiết kế và mô phỏng bộ đếm BCD có chức năng lựa chọn đếm tiến hoặc đếm lùi và hiển thị kết quả đếm trên LED 7 thanh.

Download Code Here
-----------------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity D1_C1 is
port(
clk : in STD_LOGIC;
sel : in STD_LOGIC;
rst : in STD_LOGIC;
seg : out STD_LOGIC_VECTOR(7 downto 0)
    );
end D1_C1;

architecture D1_C1 of D1_C1 is
signal dem:integer range 0 to 9;
begin
process(rst,clk,sel)
variable dem:integer range 0 to 9;
begin
if (rst='1') then dem:=0;
elsif (rising_edge(clk)) then
if (sel='1') then
if (dem=9) then dem:=0;
else dem:=dem+1;
end if;
elsif (sel='0') then
if(dem=0) then dem:=9;
else dem:=dem-1;
end if;
end if;
end if;
case dem is
when 0=>seg<="00000000";
when 1=>seg<="00000001";
when 2=>seg<="00000010";
when 3=>seg<="00000011";
when 4=>seg<="00000100";
when 5=>seg<="00000101";
when 6=>seg<="00000110";
when 7=>seg<="00000111";
when 8=>seg<="00001000";
when others=>seg<="00001001";
end case;
end process;
end D1_C1;
-- rst:500Khz, sel:1Mhz, clk:20Mhz
---------------------------------------------------------------------------------------------------------
Chi tiết xin liên hệ:
Nguyễn Duy Tân
Email: nguyenduytan1909@gmail.com hoặc duytandhdt3k5@gmail.com
Yahoo: nguyenduytan1909
Skype: Tannd1909
FaceBook:Nguyễn Duy Tân

1 comment:

  1. Các bạn có thể đóng góp ý kiến ở đây! thank you!

    ReplyDelete